GWC: Graphene-enabled Wireless Communications

Graphene, a flat monoatomic layer of carbon atoms tightly packed in a two-dimensional honeycomb lattice, has recently attracted the attention of the research community due to its novel mechanical, thermal, chemical, electronic and optical properties. Since its first isolation by the Nobel laureates Andre Geim and Konstantin Novoselov back in 2004, graphene has given rise to a plethora of potential applications in diverse fields, attracting, as a result, multimillion dollar research funding.

A remarkably promising application of graphene is that of Graphene-enabled Wireless Communications (GWC). GWC advocate for the use of graphene-based plasmonic antennas -graphennas, see Fig. 1- whose plasmonic effects allow them to radiate EM waves in the terahertz band (0.1 – 10 THz). Moreover, preliminary results sustain that this frequency band is up to two orders of magnitude below the optical frequencies at which metallic antennas of the same size resonate, thereby enhancing the transmission range of graphene-based antennas and lowering the requirements on the corresponding transceivers. In short, graphene enables the implementation of nano-antennas just a few micrometers in size that are not doable with traditional metallic materials.

Thanks to both the reduced size and unique radiation capabilities of graphennas, GWC may represent a breakthrough in the ultra-short range communications research area. In this project we will study the application of GWC within the scenario of off-chip communication, which includes communication between different chips of a given device, e.g. a cell phone. The advantages of the resulting Off-Chip Graphene-based Wireless Communication are manifold but can be summarized in two points. On the one hand, the potential of GWC to radiate in the terahertz band provides a huge transmission bandwidth, allowing not only the transmission of information at extremely high speeds but also the design of ultra-low-power and low-complexity schemes. On the other hand, the reduced size of such antennas results in a smaller area overhead than with conventional metallic antennas, factor that may be critical in area constrained scenarios. Moreover, improving the directivity values by means of graphene-based antenna arrays could be possible due to the aforementioned reduced size.


Project Objectives

The present project aims to implement wireless communication in the terahertz band, by means of graphennas, for short-range communication, i.e. below tens of millimeters. While this option opens the door for high throughput (potentially up to Tbps) and simple and low-power transmissions (i.e. impulse radio), it also presents some important challenges. The project tries to address some of them, namely:

  • Terahertz channel modeling for on-chip and off-chip communication

The terahertz band is still one of the least-explored frequency zones of the EM spectrum. The few terahertz channel models existing to date are aimed at characterizing the communication between devices that are several meters away and, thus, may not be suited for the short range. Phenomena such as molecular absorption loss and molecular absorption noise, remain unknown and have not yet been analyzed in this scenario. For distances below a few centimeters, the number of absorbent molecules is limited and we could consider the entire terahertz band as a single transmission window almost 10 THz wide. Additionally, scattering and the presence of multipath components due to reflections of EM waves on close metallic components will be taken into account and integrated into the model.

The results of the aforementioned model will be obtained by means of simulation and combined with the outcome of the antenna design, in order to perform a complete channel model for off-chip graphene-enabled wireless communications, accounting for all the impairments present from the transmitter to the receiver circuitries.

  • Coding and Modulation Design Space Exploration

The peculiarities of the on-chip/off-chip wireless scenario require us to review the coding and modulation schemes employed in classical wireless networks. Energy and chip real estate are two scarce resources in nowadays devices (e.g. smartphones, tablets, laptops) to the point of considering area and energy overheads of a given interconnect as a critical evaluation factor. Since such area and energy figures strongly depend on the coding and modulation employed in the implementation of the physical layer protocols (PHY), we will explore the coding and modulation design space in order to implement simple and energy efficient communications.

Within the plethora of possibilities in terms of signaling schemes, Impulse Radio (IR), widely used in ultra-wide band (UWB) systems, stands out as a promising candidate for the implementation of on-chip/off-chip wireless communication and shall be studied. IR consists of the transmission of very short baseband pulses, the length of which determines the bandwidth of such spread spectrum signal. By emitting picosecond long pulses, we could implement IR communication near the terahertz band. Another important aspect is the simplicity and low-power demand of the transceiver required for IR communication (e.g. it can be asynchronous, avoiding the use of power hungry components such as a phase-locked loop). Due to the promising conditions of IR communication, in this project we will inspect the consequences and trade-offs that exist when current IR solutions are upscaled to the terahertz band, including transceiver non-idealities (e.g. timing jitter).

Long-term Vision

We expect that the outcomes of the current project will lay the foundations for short-range, high-datarate and low-power wireless communication using graphene-based nano-antennas. The outstanding potential of this option could open the door of a disruptive application: Graphene-enabled Wireless Network-on-Chip (GWNoC).

The reduced size of graphennas (in the order of micrometers) enables size compatibility with cores of current and future multiprocessors. In this context graphennas can be also effectively used as a way to communicate the different processors or cores of a Chip Multiprocessor, forming a GWNoC. Deployed over a state-of-the-art on-chip interconnection network, GWNoC enables point-to-point, broadcast and multicast communications in the terahertz band, which potentially offers enough bandwidth in this data intensive scenario. From the multicore architecture perspective, such feature creates a large range of possibilities with potential to cause a paradigm shift in how processors interact between them and with memory, for instance in terms of data/cache coherence, consistency or synchronization.

People

albert cabellos
eduard alarcon
ian akyildiz
Sergi Abadal
Ignacio Llatser
Josep Miquel Jornet
Albert Mestres
  • Mario Iannazzo (PhD student)
Mario Iannazzo

Funding

Samsung Global Research Outreach



Publications

  • S. Abadal, I. Llatser, A. Mestres, H. Lee, E. Alarcón and A. Cabellos-Aparicio, "Time-Domain Analysis of Graphene-based Miniaturized Antennas for Ultra-short-range Impulse Radio Communications", IEEE Transactions on Communications, vol. PP, no. 99, February 2015.
  • I. Llatser, A. Mestres, S. Abadal, E. Alarcón, H. Lee and A. Cabellos-Aparicio, "Time and Frequency Domain Analysis of Molecular Absorption in Short-range Terahertz Communications", to appear in IEEE Antennas and Wireless Propagation Letters, October 2014.
  • Abadal, A., Llatser, I., Mestres, A., Alarcón, E., Lee, H., Cabellos-Aparicio, A., "Time Domain Analysis of Graphene-based Miniaturized Antennas for Ultra Short-range Impulse Radio Communications", submitted for journal publication, September 2013
  • Mestres, A., Abadal, A., Llatser, I., Alarcón, E., Lee, H., Cabellos-Aparicio, A., "Static Multipath and Reflections Analysis for Off-Chip Ultra-High Speed Impulse Radio Communications", submitted for journal publication, September 2013
  • Mestres, A., Llatser, I., Abadal, A., Alarcón, E., Lee, H., Cabellos-Aparicio, A., "Near Field Boundaries in Graphene Wireless Applications", submitted for journal publication, October 2013
  • S. Abadal, M. Iannazzo, M. Nemirovsky, A. Cabellos-Aparicio, H. Lee, E. Alarcón, "On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration"IEEE/ACM Transactions on Networking, vol. PP, no. 99, 2014
  • Abadal, A., Mestres, A., Alarcón, E., Nemirovsky, M., Lee, H., Cabellos-Aparicio, A., "Scalability of Broadcast Performance in Wireless Network-on-Chip", submitted for journal publication, September 2013
  • S. Abadal, E. Alarcón, M. C. Lemme, M. Nemirovsky and A. Cabellos-Aparicio, "Graphene-enabled Wireless Communication for Massive Multicore Architectures, in IEEE Communications Magazine, vol. 51, no. 11, pp. 137-143, November 2013.

Media Coverage: